Welcome to LookChem.com Sign In|Join Free

CAS

  • or

81280-46-8

Post Buying Request

81280-46-8 Suppliers

Recommended suppliersmore

  • Product
  • FOB Price
  • Min.Order
  • Supply Ability
  • Supplier
  • Contact Supplier

81280-46-8 Usage

Check Digit Verification of cas no

The CAS Registry Mumber 81280-46-8 includes 8 digits separated into 3 groups by hyphens. The first part of the number,starting from the left, has 5 digits, 8,1,2,8 and 0 respectively; the second part has 2 digits, 4 and 6 respectively.
Calculate Digit Verification of CAS Registry Number 81280-46:
(7*8)+(6*1)+(5*2)+(4*8)+(3*0)+(2*4)+(1*6)=118
118 % 10 = 8
So 81280-46-8 is a valid CAS Registry Number.

81280-46-8Relevant articles and documents

Investigation of an Electrocyclisation Route to the 7bH-Cyclopentindene System

Bradbury, Robert H.,Gilchrist, Thomas L.,Rees, Charles W.

, p. 3234 - 3238 (1981)

An approach to the synthesis of derivatives of 7bH-cyclopentindene ring system (1) has been explored in which two of the three rings are constructed by cyclisation reactions.The acetylenic alcohol (7) was prepared by coupling of 3-iodo-2-methylcyclopent-2-en-1-one (6) with the copper(I) salt of prop-2-ynyl alcohol tetrahydropyranyl ether.This was then converted into the Z,E-trienedione (2).On heating, this compound failed to undergo cyclisation; the only reaction observed was its isomerisation to the E,E-trienedione (10).Under forcing conditions it gave only indan-1-one.The cis-double bond of the trienedione system was fixed by incorporating it into a bicycloheptadiene system.Two such derivatives, (14) and (15), were prepared; both underwent smooth electrocyclic ring-closure on heating and the ylide (15) also cyclised further to give compound (19), which incorporates the required 7bH-cyclopentindene skeleton.

Post a RFQ

Enter 15 to 2000 letters.Word count: 0 letters

Attach files(File Format: Jpeg, Jpg, Gif, Png, PDF, PPT, Zip, Rar,Word or Excel Maximum File Size: 3MB)

1

What can I do for you?
Get Best Price

Get Best Price for 81280-46-8